vcd波形文件查看|vcd格式的视频要用什么播放器播放

|

1. vcd的文件如何打开

VCD是映象文件,可以用虚拟光驱(Virtual Drive)打开。

VCD,影音光碟(Video Compact Disc;VCD),是一种在光碟(Compact Disk)上存储视频信息的标准。VCD可以在个人电脑或VCD播放器以及大部分DVD播放器中播放。

VCD标准由索尼、飞利浦、JVC、松下等电器生产厂商联合于1993年制定,属于数字光盘的白皮书标准。

(1)vcd波形文件查看扩展阅读

VCD是全动态、全屏播放的视频标准。格式可分为:

1,分辨率为352×240像素,每秒29.97幅画面(适合NTSC制式电视播放)

2,分辨率为352×240像素,每秒23.976幅画面(适合电影每秒24格的影片)

3,分辨率为352×288像素,每秒25幅画面(适合PAL制式电视播放)

整体来说,分辨率大约是对应电视制式分辨率的四分之一。VCD的视频采用MPEG-1压缩编码,音频采用MPEG 1/2 Layer 2(MP2)编码,码率分别为视频1150kbit/s、音频224kbit/s。

VCD的比特率和普通音乐CD相当,因此一张标准的74分钟的CD可以存放大约74分钟的VCD格式影片。

2. 怎样在Modelsim软件中产生一个.vcd文件并且显示波形

最后修改: 2012 年9 月11 日产品类别: 设计软件产品领域: 仿真/一致性验证产品子领域: ModelSim-Altera(仿真/一致性验证)标题说明VCD文件是一个IEEE 1364-1995标准文件,包含所有调试仿真结果所需要的仿真波形信息。它包含设计中的所有信号,当需要在波形窗口中加入信号时,你不需要重新运行仿真。为了生成一个.vcd文件:1) 在transcript窗口中成功编译和加载设计2) 指定VCD文件名称 – 语法: vcd file <file_name>.vcd3) 使能VCD文件存储需要的节点信号- 语法: vcd add <path_to_instance>/*注意: 这个命令不会存储子节点信号 使能加密节点的VCD会产生告警4) 运行仿真,产生VCD数据库5) 退出仿真- 语法: quit –sim 为了在Modelsim软件的波形窗口中显示.vcd文件中的信号: 1) 在Modelsim中将VCD格式转换为WLF格式- 语法: vcd2wlf <file1.vcd> <file2.wlf>注意: 如果转换失败,很可能是由于不存在的节点路径导致的。请确认在前面第3步中指定的所需节点路径是正确的。 2) 退出当前的Modelsim环境 (Modelsim生成正确VCD文件的要求)3) 打开第1步生成的WLF文件- File菜单 -> Open -> file2.wlf4) 在Object窗口中选择调试所需信号,并把它们添加到波形窗口中去 反馈 此页内容满足用户需要:完全不同意 完全同意 12345 此页很容易被找到: 完全不同意 完全同意 12345 如您有对改善支持解决方案的其他建议,请填于下: Altera并不保证此解决方案能够达到客户的预期目的,并不承担所有的解决方案的使用和信赖的责任。

3. 如何Dump波形文件并显示波形

常用的波形文件有如下三种,1、trn文件,Cadence simvision支持,通过如下方式产生$shm_open(“./wave”) ;//产生你所需要mp波形的文件夹$shm_probe(bench_top,”AS”);//bench_top为举例,即你所需要mp的层$shm_close;2、fsdb文件,debussy/verdi支持,通过如下方式产生$fsdbDumpfile(“./wave/top.fsdb”);$fsdbDumpvars(5,bench_top);//从bench_top开始,mp 5层;$fsdbDumpoff;3、vcd文件,该文件通用性更广,可以转换为任意格式,可惜文件太大,产生方式如下,$mpfile(“./wave/top.vcd”);$mpvars(5,bench_top);$mpoff;当然也可以同时产生,或者通过ncoption指定,譬如在option中增加+TRN_DUMP/+VCD_DUMP/+FSDB_DUMP等在.v文件中去解析这样的stringsinitial beginstart_mp =10;stop_mp =3000000;finish_time=3000100;#0;beginif($test$plusargs(“VCD_DUMP”))$mpfile(“./wave/top.vcd”);if($test$plusargs(“TRN_DUMP”))$shm_open(“./wave”) ;if($test$plusargs(“FSDB_DUMP”))$fsdbDumpfile(“./wave/top.fsdb”);end#(start_mp);beginif($test$plusargs(“VCD_DUMP”))$mpvars(5,bench_top);if($test$plusargs(“TRN_DUMP”))$shm_probe(bench_top,”AS”);if($test$plusargs(“FSDB_DUMP”))$fsdbDumpvars(5,bench_top);end#(stop_mp-start_mp);beginif($test$plusargs(“VCD_DUMP”))$mpoff;if($test$plusargs(“TRN_DUMP”))$shm_close;if($test$plusargs(“FSDB_DUMP”))$fsdbDumpoff;end#(finish_time-stop_mp);$stop;$finish;end各种波形文件的打开方式TRNsimvision ./wave/wave.trn-input../../signal_list/simvision.svcfFSDBdebussy -f $FileList.f-autoalias -ssf ./wave/top.fsdb -topbench_top-sswr../../signal_list/top.rcVCD该文件需要被转换为trn或fsdb文件,然后打开;转fsdb文件:vfast ./wave/top.vcd -o ./wave/top.fsdb&&debussy -f $FileList.f-autoalias -ssf ./wave/top.fsdb -topbench_top-sswr../../signal_list/top.rc转trn文件:simvisdbutil -CVTMVL9 -OVERWRITE-LOGFILE wolf.log -OUTPUT wave.trn top.vcd&&simvision ./wave/wave.trn-input../../signal_list/simvision.svcf

4. vcd格式的视频要用什么播放器播放

vcd格式的视频文件,可以用暴风影音播放器播放,但播放前一定要先将暴风影专音设置“文件关联”,设置好属之后VCD格式的视频就可以播放了,如图所示:

Video CD format(VCD格式) ,MPEG图像特有的一种文件格式。VCD写作软件将这种文件写到一张CD上,该CD称为video CD,它可以在VCD播放机或用MPEG播放器在工作站的CD-ROM驱动器上播放。 VCD即 Video Compact Disc 的缩写:视频压缩盘片。VCD标准由索尼、飞利浦、JVC、松下等电器生产厂商联合于1993年制定,属于数字光盘的白皮书标准。

5. vcd文件怎么打开

VCD是映象文件,可以用虚拟光驱(Virtual Drive)打开。

VCD,影音光碟(Video Compact Disc;VCD),是一种在光碟(Compact Disk)上存储视频信息的标准。VCD可以在个人电脑或VCD播放器以及大部分DVD播放器中播放。

VCD标准由索尼、飞利浦、JVC、松下等电器生产厂商联合于1993年制定,属于数字光盘的白皮书标准。

(5)vcd波形文件查看扩展阅读

VCD是全动态、全屏播放的视频标准。格式可分为:

1,分辨率为352×240像素,每秒29.97幅画面(适合NTSC制式电视播放)

2,分辨率为352×240像素,每秒23.976幅画面(适合电影每秒24格的影片)

3,分辨率为352×288像素,每秒25幅画面(适合PAL制式电视播放)

整体来说,分辨率大约是对应电视制式分辨率的四分之一。VCD的视频采用MPEG-1压缩编码,音频采用MPEG 1/2 Layer 2(MP2)编码,码率分别为视频1150kbit/s、音频224kbit/s。

VCD的比特率和普通音乐CD相当,因此一张标准的74分钟的CD可以存放大约74分钟的VCD格式影片。

6. 怎么看VCD

你说的是电脑看VCD碟? 一般就是在光盘中有个MPEG……的文件夹,里面是若干DAT文件,这些DAT文件就是有影象的文件 可以按住SHIFT键同时点鼠标右键,选打开方式,选Windows Media Player就可以了 (但不要勾选下面的“始终用此方式打开该类文件”)

7. VCD文件在电脑上怎么看

第一,楼主你的确定光盘中的确是VCD的文件结构,如果按你所说,后缀是VCD的,那么不见得是VCD光盘,因为VCD光盘有特定的文件结构和后缀,一般是DAT格式的视频文件。第二,一般正版光盘有可能加密处理了,是防拷贝的,电脑光驱无法播放。


赞 (0)